As a senior member of our SoC physical design team, you will be performing various electrical analyses at the block or chip level, including but not limited to: Gridcheck, ESD, Static/Dynamic IR, EM, Noise and Signal EM. You will also work with the implementation team during the entire chip design c...
Interacts and communicates with design teams performing logical design, logical verification, analog circuit design and verification, and layout design. You'd leverage your understanding of computer architecture, mixed-signal design, off-chip signaling, RTL development, design-for-test, and logical ...
The Design Engineering team also maintains a close partnership with the Construction team, working together to craft a seamlessly integrated design that ensures the efficient execution of new and existing standards and designs. Your responsibilities will encompass the coordination of design document...
ASIC Firmware Design Engineer, Staff. Our Silicon Design & Verification business is all about building high-performance silicon chips-faster. We're the world's leading provider of solutions for designing and verifying advanced silicon chips. We design the next-generation processes and models needed ...
They drive mask design to implement layout view of designs. We are seeking dedicated Analog Mixed-Signal designers to join our high-speed SerDes team. In this role, you will actively work with cross-functional Analog Mixed-Signal design teams to create and execute on state-of-the-art IPs key to Appl...
You will collaborate with other teams to scope, define, design, model, prototype, validate, and iterate the mechanical design of Antora's thermal battery. Direct ownership of structural, fluid, and/or electrical subsystems and components within Antora's thermal battery module by driving basic archit...
This includes the following: * Propose, negotiate, and design EE solutions to achieve functional and performance objectives * Design & schematic capture of digital and some analog electrical circuits and systems * Lead and review PCB layout * Build proof-of-concept and initial prototype hardware * C...
We are looking for RF/mmWave IC Design engineers, who consider themselves in the top 5 within their core development area. RF/Analog/mmWave IC circuit design. ...
Analyze and debug test failures with designers to deliver functionally correct design. Role: Design Verification Engineer. Plan the verification of complex design IP/SoC like CPU/Core/GFX block. Experience in design verification with a proven track record of delivering complex CPU or SoC IP’s. ...
Experience in designing and developing application on ServiceNow platform. ...
Analyze and debug test failures with designers to deliver functionally correct design. Role: Design Verification Engineer. Plan the verification of complex design IP/SoC like CPU/Core/GFX block. Experience in design verification with a proven track record of delivering complex CPU or SoC IP’s. ...
MediaTek’s advanced Memory Design team in San Jose is looking for a high-performance senior memory design engineer and architect to define and architect memory designs and circuits for next generation ASICs for Cloud AI and Data center applications. Mentor, guide, and direct other designers, while b...
You will have overall responsibility for the design of the gasketing, clamping, and multiphase flow field and thermal design. Overall ownership of mechanical stack design, management and integration of components designed by other engineers. We are looking for an engineer to perform the mechanical d...
Strong background in analog and mixed-signal IC design, and hands-on experience in designing circuits such as ADCs, DACs, opamps, LDOs, and bandgaps. Designed as a critical component of Mojo Lens and first announced in 2019, the Mojo Vision Micro-LED Display is the smallest, densest dynamic display ...
An additional responsibility is to work withthe 3D IC Solutions package design lead and place and route engineering team todevelop an integrated silicon interposer physical design and verification flow. Referencedesigns and test structures and/or customer designs will be used in thedevelopment, test...
We are seeking engineering talent with expertise in analysis, design, and development of Analog integrated circuits using BiCMOS, SOI, and CMOS technologies and delivery of complex multichip, multi-technology RFIC-based wireless module solutions. In the Module Design Team, you will be participating ...
In-depth knowledge of Timing budgeting and Analysis in custom high-speed designs. ...
Prior experience in chemical process/product/plant design is preferred. ...
Senior Design Verification Engineer. Universal Verification Methodology (UVM) and coverage, debugging designs as well as creating simulation environments, with a proven track record of full verification cycle on complex SoC IPs and/or systems. Experience in creating simulation environments, developi...
Self-motivated, energetic attitude to create leading-edge production quality designs and innovate design methodologies. Blue Cheetah Analog Design Inc. Use your Front to Back IC design experience and flow knowledge to ensure the project is delivered to the program requirements. IC design experience ...
This includes automated synthesis and timing driven place and route of RTL blocks for high speed datapath and control logic applications, automated design flows for clock tree synthesis, clock and power gating techniques, buffer/repeater insertion, scan stitching, design optimization for improved ti...
We add value for our customers by applying a holistic system-level approach combined with creative circuit design, proprietary silicon process technology and materials engineering, to provide optimal product solutions. We are expanding and looking for a Senior / Principal Member of Te...
At Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology.Oversee License Compliance reviews to closure, in collaboration with Sales and Channel Partners.Engage with organizations to resolve licensing discrepancies and ensure full compliance with li...
Keywords: Hardware Design Engineer, High Speed Network Interfaces, High-speed SERDES, System Design, signal integrity, power distribution, Network Switches, Network Routers, Board Design, Cadence Concept, Allegro, SERDES. The ideal Hardware Design Engineer . Responsibilities of the Hardware Design E...
The candidate will interface with design management and module design teams to create detailed specifications, report design progress, and collect, track, and resolve any performance and circuit design issues. RFIC Design Engineer: responsible for the design and development of RFICs for mobile hands...