Acceler8 Talent is currently seeking a skilled Design Verification Engineer to join one of the world's leader in AI innovation, that specializes in the design of high-performance, low-power AI inference solutions. Design Verification Engineer – San Jose, CA. You'll play a key role by ensuring their ...
Perform RTL design of digital components. Help to improve/automate design process. Design constraints for synthesis and static timing analysis. Knowledge of front-end RTL design tools and methodologies. ...
Here, you'll collaborate with some of the globe's most talented and dedicated engineers, shaping designs that push the boundaries of performance, energy efficiency, and scalability. Collaborate closely with the design team to grasp design intricacies and devise comprehensive verification strategies....
Block level design from RTL-to-GDSII: synthesis, floor-planning, place & route, timing/EMIR/PV closure, and signoff. Experience with multi-power domain design. ...
Senior Principal Analog Design Engineer. Senior Principal Analog design Engineer, NEW PRODUCT DEVELOPMENT, Power Management, to join our growing team in San Jose, California. ...
Electrical Engineering, Cloud, Design Engineer, Manufacturing Engineer, Technical Support, Engineering, Technology. Supermicro is seeking a Senior Power Supply Design Engineer who can lead the product design, review, and validation of server power supply and related products. Evaluate current and fu...
Our wireless organization is responsible for all aspects of wireless silicon development that transform the user experience at the product level, all of which is driven by a best-in-class vertically integrated engineering team spanning RF/Analog architecture and design, Systems/PHY/MAC architecture ...
Do you want to be at the forefront of designing the next Epyc, Ryzen or Threadripper CPU? AMD is seeking an experienced and creative processor designer to develop microarchitecture for the Core pipeline in our the next-generation processor. A broad and thorough understanding of microprocessor archit...
Would you like to join Apple's growing wireless silicon development team? Our wireless SOC organization is responsible for all aspects of wireless silicon development with a particular emphasis on highly energy efficient design and new technologies that transform the user experience at the product l...
Senior Physical Design Methodology Engineer page is loaded. Senior Physical Design Methodology Engineer. Minimum 5 years experience in Physical Design Engineering. Strong background with hierarchical design approach, top-down design, budgeting, timing and physical convergence. ...
This role focuses on steering the microarchitecture and design of a groundbreaking convolutional neural network accelerator ASIC. Architecting and implementing complex RTL designs. RTL logic design for multi-million gate ASICs. Track record in low-power design and UPF flow. ...
SoC Physical Design Engineer, STA/Timing. In this visible role, you will be directly responsible for the physical implementation of design partition(s) (from netlist to tapeout) for a highly complex SOC using state of the art process technology. Work with design teams to understand and debug constra...
Knowledge leader in electromechanical product design for series production: concept ideation and evaluation, refinement of product requirements, design release best practice, GD&T and tolerancing analysis, design for manufacturability and assembly, process capability statistical analysis and optimiz...
As a logic design engineer, you will be involved in all phases of the design, from concept study, architecture definition, design and verification, to silicon bring-up and characterization. Writing detailed design specification and test plans in close collaboration with architecture, circuit designe...
We are looking for a Senior level RTL Design Engineer who has extensive experience working with SSD and PCIe along with IP integrations. With offices in the US, Europe, China and Singapore we are looking to further build our engineering team. ...
CPU Emulation Design Verification Engineer. In this position, you will perform Functional Verification of next generation high performance Microprocessor designs – with a focus on leveraging Emulation environments. Develop, simulate and debug directed and random stimulus to find bugs in the micropro...
SOC/ASIC PHYSICAL DESIGN ENGINEER (SILICON ENGINEERING). We are seeking a motivated, proactive, and intellectually curious engineer who will work alongside world-class cross-disciplinary teams (systems, firmware, architecture, design, validation, product engineering, ASIC implementation). Closely co...
BSc in Electrical Engineering or Computer Engineering 8+ years of experience in Mixed Signal Design Verification or MSc in Electrical Engineering or Computer Engineering with 6+ years of of experience in Mixed Signal Design Verification. Broadcom is looking for a senior level Mixed Signal Design Ver...
You will be responsible for Package/SIP/module physical design and layout, optimization, design verification and tape out; and work with multi-functional teams to achieve optimized mechanical / electrical / thermal performance for various types of chips. BS and 10+years hands-on experience with pack...
We are looking for Principal Design Verification Engineers with proven experience in working on industry standard protocols such as PCIe/CXL/DDR/Ethernet. Using your coding and protocol expertise, you will contribute to the functional verification of the designs from coming up with block level and s...
Lumotive is seeking a Lead Analog Circuit Design Engineer to lead the next generation development of analog control electronics for the Light Control Metasurface (LCM) beam forming chips. The ideal candidate will define and lead the development of active matrix LCM technology by collaborating with o...
Strong experience in sheet metal design (and / or plastic design), Electro-mechanical product design and good understanding of manufacturing processes. Mechanical Design Engineer in San Jose, CA (Onsite). Telecom equipment product design (enc. ...
Bringing products to fruition through concept, solid modeling, design, testing, analysis, re-design, FEA (if needed) and final approval/qualifications via design verifications, design reviews, and design validation. The Product Design Engineer is responsible for providing design engineering technica...
We are now looking for a Senior Design Verification Engineer!. Interactions with design engineers to define detailed verification scope. Technical leadership role to define/plan/implement/execute verification strategy of complex design. Ability to delve into lowest level details of ASIC design speci...
Fully Funded AI Semiconductor startup is actively seeking a Sr Design Engineer to work on the state-of-art AI processor architecture!. Deep understanding of the digital design flow from architecture, RTL design, verification, and synthesis. Logic design experience with state of the art deep submicro...