Block level design from RTL-to-GDSII: synthesis, floor-planning, place & route, timing/EMIR/PV closure, and signoff. Experience with multi-power domain design. ...
Prodapt is looking for a Senior Physical Design Engineer who has extensive experience in the RTL2GDSII flows for complex SoCs using the latest Synopsys/Cadence tools and nodes such as 5/3nm. Handle all the Physical design tasks (Floorplanning, Placement, Clocktree synthesis, Routing and Timing closu...
Develop and own physical design implementation of multi-hierarchy low-power designs including physical-aware logic synthesis, design for testability, constraints, static timing analysis, formal verification, Gate level functional & timing ECO in advanced technology nodes. We have 100+ years of c...
Bachelors in Electrical/Computer Engineering required; 8-12 years of experience in Power Signoff and Physical Implementation of designs at 20nm and below, on actual tapeouts required. In the post-sales role, will work closely with customers doing challenging designs at advanced nodes and help them w...
The ideal candidate will have deep design experience in high PHY and/or SOC designs- Deep Knowledge about industry standards and practices in Physical Design, including Physically aware synthesis, Floor-planning, and Place & Route- Experience in developing and implementing Power-grid and Clock speci...
Provide technical support to Cadence customers in the areas of Digital Design Implementation & Signoff including Synthesis, Place and Route, Design Closure, and timing/power signoff. Guide customers on how to best utilize Cadence technologies to achieve their design goals and meet project schedules....
Physical Security Engineer to join its data center design team. Physical Security Engineer at AWS, you will lead security designs for Amazon Data Centers (DC) throughout our Americas Region. Physical Security Engineers at AWS work to design safe, secure, resilient, cost effective Data Center (DC) fa...
We are now looking for a motivated Physical Design and Timing Engineer to join our dynamic and growing team. Drive physical design and timing of high-frequency and low-power CPUs, GPUs, SoCs at block level, cluster level, and/or full chip level. Expertise in physical design and optimization e. BS (o...
Senior Physical Design Engineer. Implementation: Synthesis, CDC (clock domain crossing) concepts and analysis, Industry standard tools like Design compiler/Genus, ICC2/Fusion Compiler/Innovus, Familiarity with netlist verification – CLP (low power checks), design checks, linting checks etc. ...
Apply knowledge and gain experience in ASIC design including RTL and logic design, physical and circuits design, and timing and power convergence. We are now looking for a motivated Senior ASIC Physical Design PPA (Performance, Power, Area) Engineer to join our dynamic and growing team. Drive physic...
You will gain exposure to different aspects of product development, from concept to post silicon validation! You will collaborate with a variety of fields including Architecture, RTL, Synthesis, Clocking, DFT, Physical design and Post silicon engineering to ensure the best design practices are follo...
This is a front-end design engineering position on AMD's Data Fabric IP. Every product that AMD sells has its own custom-designed Data Fabric, so this role gives an engineer the opportunity to work on a broad array of products that address a variety of markets, including traditional servers, high pe...
Together, we enable our customers to do all the things they love with their devices! This role requires a mix of strategic engineering along with hands-on, technical work, being responsible for implementing complete chip design from netlist to tapeout and having hands-on experience in physical desig...
You are a Physical Design Engineer with 7+ years of experience. Have a keen eye for debugging issues around Physical Design and have a penchant for looking for best in class PPA with out of box thinking. You'll be joining our Application Engineering Team, which is responsible for acheiving best in c...
Principal Application Engineer responsible for providing pre-sales and post-sales technical support for the Digital Implementation and Signoff tools. Bachelor’s degree with at least 3-6 years of design/EDA experience or Master’s degree with at least 4 years of experience. Strong knowledge of Digital...
Mechanical fixture design and support. Mechanical or Process Engineering degree. You will support the design and development of new ideas and solutions for new product development as well as supporting current products modification and improvements based on in service needs and market strategy. You ...
A company is looking for a Project Management Professional (Remote). ...
Key Responsibilities:Serve as the project manager for large, complex task ordersAssist the Program Manager in coordinating with various stakeholdersEnsure timely implementation of technical solutions and schedulesRequired Qualifications:Minimum of 5 years relevant experience and 10 years of leadersh...
A company is looking for a Drafter. ...
A company is looking for a Biomarker Senior Project Manager. ...
A company is looking for a Quality Process Engineer. ...
We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today’s mission and stay ahead of tomorrow’s threat. Qualifications You Must Have** Typically requires a Bachelor’s in Science, Technology, Engineering, or Mathematics (STEM) and a mini...
Excellent opportunity for a structural engineer looking to work for one of the top engineering firms in Dallas. Degree in Civil or Structural Engineering. Ability to take a project from start to finish. Experience as a structural engineer (buildings). ...
Uses computer assisted engineering and design software. Develops and coordinates the design and production of electronic components from inception to completion by performing the following duties. Develops connector systems based on customer input, production specifications, test data and standard e...
Bachelors Degree in Electrical Engineering from an accredited university. Electrical Engineering Experience required. Experience building engineering design and construction of multi-disciplinary projects. Project Management or Lead Engineer Experience a plus. ...