As a Physical Design engineer you will contribute to all phases of physical design of high performance PHY design from RTL to delivery of our final GDSII. Do you love working on challenges that no one has solved yet? Do you like changing the game? We have an opportunity for a forward-thinking and un...
Block level design from RTL-to-GDSII: synthesis, floor-planning, place & route, timing/EMIR/PV closure, and signoff. Experience with multi-power domain design. ...
Work with the logic design team to understand partition architecture and drive physical aspects early in the design cycle. Resolve and improve design and flow issues related to physical design, identify potential solutions, and drive execution. Join us to help deliver the next groundbreaking Apple p...
Join us to help deliver the next groundbreaking Apple product!As a Physical Electrical Analysis Engineer on our SoC team, you will be driving the electrical analysis and verification of an SoC. As a senior member of our SoC physical design team, you will be performing various electrical analyses at ...
Your responsibilities include: * Work closely with the Front-End and Physical Design teams to drive methodology changes to improve performance, power and area for the next generation GPU designs and recommend design/methodology changes to absorb the updates. Knowledge of logic design principles, phy...
Analyze design power and devise improvements through architectural or flow optimizations PREFERRED EXPERIENCE: Extensive working knowledge, gained through multiple tapeouts, of the latest generation of Synopsys design tools, including Design Compiler NXT, Formality, Power Compiler, PrimeTime, Fusion...
We are now looking for a Senior Physical Design Engineer. Responsible for all aspects of physical design and implementation of GPU and other ASICs targeted at the desktop, laptop, workstation, and mobile markets. As a member of a team, we will all participate in establishing physical design methodol...
Physical Design (STA) Engineer. Self-starter with 2-10 years of experience on SOC/Chip level/IP Timing closure and Signoff of high-speed complex design with multiple clocks and power domains with minimal supervision. Expertise in running STA analysis and achieving timing closure on multiple high-per...
This is a front-end design engineering position on AMD's Data Fabric IP. Every product that AMD sells has its own custom-designed Data Fabric, so this role gives an engineer the opportunity to work on a broad array of products that address a variety of markets, including traditional servers, high pe...
Graphics Physical Design PPA EngineerAustin,Texas,United StatesHardwareDo you love creating elegant solutions to highly complex challenges? As part of our Silicon Engineering group, you’ll help design and manufacture our next-generation, high-performance, power-efficient processors! You’ll ensure Ap...
Innova Solutions is committed to the principle of equal employment opportunity for all employees and to providing employees with a work environment free of discrimination and harassment on the basis of race, color, religion or belief, national origin, citizenship, social or ethnic origin, sex, age, ...
Principal Application Engineer responsible for providing pre-sales and post-sales technical support for the Digital Implementation and Signoff tools. Bachelor’s degree with at least 3-6 years of design/EDA experience or Master’s degree with at least 4 years of experience. Strong knowledge of Digital...
Physical Security Engineer to join its data center design team. Physical Security Engineer at AWS, you will lead security designs for Amazon Data Centers (DC) throughout our Americas Region. Physical Security Engineers at AWS work to design safe, secure, resilient, cost effective Data Center (DC) fa...
You are a Physical Design Engineer with 7+ years of experience. Have a keen eye for debugging issues around Physical Design and have a penchant for looking for best in class PPA with out of box thinking. You'll be joining our Application Engineering Team, which is responsible for acheiving best in c...
We are now looking for a motivated Physical Design and Timing Engineer to join our dynamic and growing team. Drive physical design and timing of high-frequency and low-power CPUs, GPUs, SoCs at block level, cluster level, and/or full chip level. Expertise in physical design and optimization e. BS (o...
Provide technical support to Cadence customers in the areas of Digital Design Implementation & Signoff including Synthesis, Place and Route, Design Closure, and timing/power signoff. Guide customers on how to best utilize Cadence technologies to achieve their design goals and meet project schedules....
Apply knowledge and gain experience in ASIC design including RTL and logic design, physical and circuits design, and timing and power convergence. We are now looking for a motivated Senior ASIC Physical Design PPA (Performance, Power, Area) Engineer to join our dynamic and growing team. Drive physic...
Understanding and experience in analysis aspects of Physical Design - extraction, timing, noise, physical verification, EMIR. You will work on world class CPU, GPU, Analog/Mixed Signal and SOC designs by developing flows, tools and methodologies for future Apple products! You will explore and enable...
Senior Physical Design Engineer. Implementation: Synthesis, CDC (clock domain crossing) concepts and analysis, Industry standard tools like Design compiler/Genus, ICC2/Fusion Compiler/Innovus, Familiarity with netlist verification – CLP (low power checks), design checks, linting checks etc. ...
The ideal candidate will have deep design experience in high PHY and/or SOC designs- Deep Knowledge about industry standards and practices in Physical Design, including Physically aware synthesis, Floor-planning, and Place & Route- Experience in developing and implementing Power-grid and Clock speci...